CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 脉冲 发生

搜索资源列表

  1. c51jing

    0下载:
  2. 实现pc机键盘(p/s2接口)与8位单片机连接使用 原理:键盘时钟接在p3.2口,既8051的外部中断int0上,键盘数据接到p1.0上 每次按键,键盘会向单片机发脉冲使单片机发生外部中断,数据有p1.0口一位一位传进来 传回的数据格式为:1位开始位(0),8位数据位(所按按键的通码,用来识别按键),1位校验位(奇校验) 1位结束位(1) 实现:将键盘发回的数据放到一个缓冲区里(数组),当按键结束后发生内部中断来处理所按的按键 缺点:由于51单片机的容量有限所以缓冲区不可
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4074
    • 提供者:jy
  1. 9.3_Pulse_Counter

    1下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.3 脉冲计数与显示   9.3.1 脉冲计数器的工作原理   9.3.2 计数模块的设计与实现   9.3.3 parameter的使用方法   9.3.4 repeat循环语句的使用方法   9.3.5 系统函数$random的使用方法   9.3.6 脉冲计数器的Verilog-HDL描述   9.3.7 特定脉冲序列的发生   9.3.8 脉冲计数器的硬件实现 -based on V
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4177
    • 提供者:宁宁
  1. 9.4_PULSE_FRE

    2下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.4 脉冲频率的测量与显示   9.4.1 脉冲频率的测量原理   9.4.2 频率计的工作原理   9.4.3 频率测量模块的设计与实现   9.4.4 while循环语句的使用方法   9.4.5 门控信号发生模块的设计与实现   9.4.6 频率计的Verilog-HDL描述   9.4.7 频率计的硬件实现 -based on Verilog-HDL hardware Circuit of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2463
    • 提供者:宁宁
  1. 9.5_PULSE_WIDTH

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.5 脉冲周期的测量与显示   9.5.1 脉冲周期的测量原理   9.5.2 周期计的工作原理   9.5.3 周期测量模块的设计与实现   9.5.4 forever循环语句的使用方法   9.5.5 disable禁止语句的使用方法   9.5.6 时标信号发生模块的设计与实现   9.5.7 周期计的Verilog-HDL描述   9.5.8 周期计的硬件实现   9.5.9 周期测
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4989
    • 提供者:宁宁
  1. 9.6_PULSE_Level

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.6 脉冲高电平和低电平持续时间的测量与显示   9.6.1 脉冲高电平和低电平持续时间测量的工作原理   9.6.2 高低电平持续时间测量模块的设计与实现   9.6.3 改进型高低电平持续时间测量模块的设计与实现   9.6.4 begin声明语句的使用方法   9.6.5 initial语句和always语句的使用方法   9.6.6 时标信号发生模块的设计与实现   9.6.7 脉冲高低电平持续
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5359
    • 提供者:宁宁
  1. high-resolution-one-dimension

    7下载:
  2. 高分辨率要求系统具有大的带宽,瞬时带宽的增加必将提高系统对硬件的要求,本文采用方便灵活的步进频率波形信号。脉间频率步进波形通过子脉冲载频的步进变化来获得大的有效带宽,使成像具有高分辨率,采用加窗和补零方法提高信噪比,但该信号对目标径向速度非常敏感。采用补零方法提高距离取样分辨率,使距离像细化,并用公式说明了补零只能提高距离取样分辨率,并不能改变频率步进信号的距离分辨能力。该信号波形对目标径向速度的敏感,使目标能量分散到邻近的距离单元造成距离分辨率下降,如果不事先进行速度补偿,直接对回波信号进行逆
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:2351
    • 提供者:石榴石
  1. AVR-6

    0下载:
  2. AVR单片机入门及C语言高效设计实践(六) ATMEAG16L的定时/计数器 ATMEAG16L有两个8位定时/计数器(T/C0、T,C2)和一个16位定时/计数器T/C1)。每一个计数器都支持PWM(脉冲宽度调制)输出功能。PWM输出在电机控制、开关电源、信号发生等领域有着广泛的应用。[第一段]-AVR C language portal and efficient design practice (6) ATMEAG16L the timer / counter All ATMEAG16
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:181404
    • 提供者:liming
  1. ps2_c51

    0下载:
  2. 功能:实现pc机键盘(p/s2接口)与8位单片机连接使用 原理:键盘时钟接在p3.2口,既8051的外部中断int0上,键盘数据接到p1.0上 每次按键,键盘会向单片机发脉冲使单片机发生外部中断,数据有p1.0口一位一位传进来 传回的数据格式为:1位开始位(0),8位数据位(所按按键的通码,用来识别按键),1位校验位(奇校验) 1位结束位(1) 实现:将键盘发回的数据放到一个缓冲区里(数组),当按键结束后发生内部中断来处理所按的按键 缺点:由于51单片机的容量
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2690
    • 提供者:felix
  1. Untitled

    4下载:
  2. 光孤子传输特性模拟 在光纤通信中,光脉冲信号在光纤中传输的过程中会受到色散、损耗和非线性等作用的影响而不断地发生演化和畸变。脉冲演化的规律遵循非线性薛定谔方程(NLSE)。由于NSLE 在一般情况下无法求得它的解析解,因此通常需采要用数值方法来求解,最终归结于求解归一化的NLSE。本文使用了分步傅里叶方法对归一化NLSE 进行了求解,并模拟了输入为一到三阶双曲正割光脉冲条件下光脉冲传输演变情况。-soliton In optical fiber communications, the optic
  3. 所属分类:Other systems

    • 发布日期:2014-05-04
    • 文件大小:384591
    • 提供者:heidian
  1. wave

    0下载:
  2. 可控脉冲发生器的VHDL源代码。设计文件加载到目标器件后,按下按键开关模块的S8按键,在输出观测模块通过示波器可能观测到一个频率约为1KHZ、占空比为50 的矩形波。按下S1键或者S2键,这个矩形波的频率会发生相应的增加或者减少。按下S3键或者S4键,这个矩形波的占空比会相应的增加或减少。-Controllable pulse generator of the VHDL source code. Design documents loaded to the target device and p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:800
    • 提供者:王唐小菲
  1. sound

    0下载:
  2. 45KHz声波发生程序 利用资源T0。每次是个脉冲,连续发声音。-45KHz sound procedures for use of resources occurs T0. Each is a pulse, continuous-fat sounds.
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:34149
    • 提供者:李建国
  1. jiaotongdeng_VHDL

    0下载:
  2. 交通控制灯:4个红色指示灯、4个绿色指示灯和4个黄色指示灯模仿路口的东、西、南、北四个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭: ①初始状态为四个方向的红灯全亮,时间1秒。 ②东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间5秒。 ③东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒。 ④东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间5秒。 ⑤东、西方向红灯亮,南、北方向黄灯闪烁,时间2秒。 ⑥返回2,继续运行。 ⑦若
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-16
    • 文件大小:134742
    • 提供者:myname
  1. chashengboceju

    2下载:
  2. AT89S52单片机控制的超声波测距,主程序首先对系统环境初始化,设置定时器T0工作模式为16位的定时计数器模式,置位总中断允许位EA并给显示端口P0和P2清0。然后调用超声波发生子程序送出一个超声波脉冲,为避免超声波从发射器直接传送到接收器引起的直接波触发,需延迟0.1ms(这也就是测距器会有一个最小可测距离的原因)后,才打开外中断0接收返回的超声波信号。由于采用12MHz的晶振,机器周期为1us,当主程序检测到接收成功的标志位后,将计数器T0中的数(即超声波来回所用的时间)按下式计算即可测得
  3. 所属分类:SCM

    • 发布日期:2015-01-27
    • 文件大小:173647
    • 提供者:刘翔
  1. step_motor

    0下载:
  2. 步进电机是一种能够将电脉冲信号转换成角位移或线位移的机电元件,它实际上是一 种单相或多相同步电动机。单相步进电动机有单路电脉冲驱动,输出功率一般很小,其用途 为微小功率驱动。多相步进电动机有多相方波脉冲驱动,用途很广。使用多相步进电动机时, 单路电脉冲信号可先通过脉冲分配器转换为多相脉冲信号,在经功率放大后分别送入步进电 动机各相绕组。每输入一个脉冲到脉冲分配器,电动机各相的通电状态就发生变化,转子会 转过一定的角度(称为步距角)。正常情况下,步进电机转过的总角度和
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:951
    • 提供者:ken
  1. xinhaocaiyanghuifu

    0下载:
  2. 信号的采样过程,内嵌信号发生,脉冲发生,方波发生,滤波过程-Sampling the signal, the embedded signal generator, pulse generator, square wave generator, the filtering process, etc.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-13
    • 文件大小:2423
    • 提供者:xuchengming
  1. DXSAVR

    0下载:
  2. AVR单片机直接控制两轴运动。使用定时器实现脉冲发生,通过USB接口与上位机通信。由上位机软件直接控制。-AVR MCU directly controls the two-axis motion. Use a timer to achieve pulse generation, through the USB interface to communicate with the host computer. Directly controlled by the PC software.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:40425
    • 提供者:华明
  1. main

    0下载:
  2. 单片机产生的脉冲发生程序。数码管显示脉冲的频率。-MCU produced by pulse program. Digital tube display the frequency of the pulse.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1660
    • 提供者:原生
  1. driver

    0下载:
  2. 基于FPGA的脉冲发生,使用的是Quartus仿真环境以及VHDL语言编译-FPGA-based pulse generation, using Quartus simulation environment and VHDL language compiler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:761
    • 提供者:张张
  1. DualPulse

    1下载:
  2. 可在STM32F1X上直接运行的双脉冲发生程序,可输入电平控制脉冲,可发正负两种脉宽可调的脉冲供IGBT上下管测试,-Double pulse can be run directly on STM32F1X occurrence procedures, input level control pulse, can send both positive and negative pulse width adjustable up and down for IGBT test tube,
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:954408
    • 提供者:fengyi
  1. 69caculator

    1下载:
  2. 1.设计、安装、调试脉冲发生电路。 2.设计、安装、调试59′59″计时器电路。 3.设计、安装、调试译码显示电路。 4.设计、安装、调试任意状态清零电路。 5.设计、安装、调试快速校分电路。 6.设计、安装、调试整点报时电路(59′53″、59′55″、59′57″时发出频率为500Hz的低声;59′59″时发出频率为1KHz的高声)。 7.设计1-5项联接构成数字计时器电路 -A digital clock in Multisim
  3. 所属分类:Energy industry

    • 发布日期:2017-04-24
    • 文件大小:439923
    • 提供者:袁亮
« 1 23 4 »
搜珍网 www.dssz.com